summaryrefslogtreecommitdiff
path: root/arch/riscv64/bits/signal.h
diff options
context:
space:
mode:
Diffstat (limited to 'arch/riscv64/bits/signal.h')
-rw-r--r--arch/riscv64/bits/signal.h2
1 files changed, 1 insertions, 1 deletions
diff --git a/arch/riscv64/bits/signal.h b/arch/riscv64/bits/signal.h
index 6a53feb7..56f8fe17 100644
--- a/arch/riscv64/bits/signal.h
+++ b/arch/riscv64/bits/signal.h
@@ -19,7 +19,7 @@ struct __riscv_mc_d_ext_state {
};
struct __riscv_mc_q_ext_state {
- unsigned long long __f[64] __attribute__((aligned(16)));
+ unsigned long long __f[64] __attribute__((__aligned__(16)));
unsigned int __fcsr;
unsigned int __reserved[3];
};